Якість автоматичного регулювання

Матеріал з Вікіпедії — вільної енциклопедії.
Перейти до навігації Перейти до пошуку

Я́кість автомати́чного регулюва́нняавтоматиці) — визначається видом перехідного процесу при регулюванні, тобто характером повернення регульованої величини до заданого значення після деякого збурення (з боку навантаження або завдання).

Показники якості[ред. | ред. код]

Основні прямі показники якості регулювання такі:

  • Максимальне динамічне відхилення. Це найважливіший показник якості перехідного процесу, являє собою максимальну амплітуду відхилення вихідного параметра (y1, рис. 1). Найбільшим відхиленням у збіжному перехідному процесі буде перше відхилення, яке безпосередньо іде за збуренням.
  • Залишкове відхилення. Цей показник називають ще залишковою нерівномірністю. Характеризує величину відхилення регульованої величини від заданого значення по закінченні перехідного процесу. Залишкове відхилення характерне при застосуванні П-регулятора. Залежить від навантаження на об'єкт, його динамічних властивостей і настроювальних параметрів регулятора. (Див. Пропорційний закон регулювання).
  • Час регулювання. Це проміжок часу tр (рис. 1) від моменту надходження на вхід ступінчастого впливу (завдання, збурення) до моменту, коли відхилення регульованої величини від заданого значення стає меншим деякого відносно малого числа δ (зона нечутливості регулятора). Прийнято вважати, що перехідний процес закінчиться в той момент часу, починаючи з якого, відхилення регульованої величини відрізняється від сталого значення не більш ніж на 5 %.
  • Перерегулювання — максимальне відхилення у2 керованої величини від нового заданого значення в бік, протилежний від початкового значення. У тих випадках, коли керована величина наближається до нового значення тільки з одного боку перерегулювання відсутнє (рис. а).
  • Квадратичний інтегральний критерій якості характеризує сумарну площу, обмежену кривою перехідного процесу.

Типові перехідні процеси[ред. | ред. код]

Характер перехідного процесу, а отже, і якість регулювання визначаються динамічними властивостями об'єкта керування, вибраним законом регулювання і настроювальними параметрами регулятора. Раціональний характер перехідного процесу поняття відносне. Необхідний вид перехідного процесу багато в чому зумовлюється вимогами технології керованого об'єкта. У одних випадках важливою вимогою є мінімальний час регулювання, в інших — мінімально можливе динамічне відхилення. Однак при рівнозначних збуреннях зменшення тривалості регулювання неодмінно приведе до більшого динамічного відхилення.

Для вибору конкретного виду перехідного процесу (в залежності від технологічних вимог) запропоновано три їх типи:

  • аперіодичний процес з мінімальним часом регулювання (рис. 1 а). — приймають у тих випадках, коли перерегулювання не допускається,
  • процес з 20 % перерегулюванням (рис. 1 б) — доцільний, коли перерегулювання допускається, але до нього висуваються вимоги (обмеження);
  • процес з мінімальною квадратичною площею відхилення (рис. 1 в) — приймається у випадку, якщо об'єкт регулювання вимагає мінімальної величини динамічного відхилення.

Див. також[ред. | ред. код]

Література[ред. | ред. код]

Посилання[ред. | ред. код]