SystemVerilog

Матеріал з Вікіпедії — вільної енциклопедії.
Версія від 08:42, 29 жовтня 2019, створена Рассилон (обговорення | внесок) (вікіфікація, категоризація, шаблон, нормальна картка замість одоробла, пропозиція перекладу)
Перейти до навігації Перейти до пошуку
SystemVerilog
Парадигмаструктурна (дизайн); об'єктно-орієнтована (верифікація)
Дата появи2002
ТворціІнститут інженерів з електротехніки та електроніки
Система типізаціїстатична, слабка
Основні реалізаціїIEEE 1800—2009 (2009-12-18)
Під впливом відVerilog і VHDL
Звичайні розширення файлів.sv

SystemVerilog — мова опису і верифікації апаратури, що є розширенням мови Verilog.

SystemVerilog був створений на базі мов Superlog (Accellera, 2002). Значна частина функціональності, пов'язаної з верифікацією була взята з мови OpenVera (Synopsys). У 2005 SystemVerilog був прийнятий як стандарт IEEE 1800—2005.

У 2009 стандарт 1800—2005 був об'єднаний з стандартом мови Verilog (IEEE 1364—2005), і була прийнята актуальна версія SystemVerilog — стандарт IEEE 1800—2009.

SystemVerilog може застосовуватися для опису RTL як розширення мови Verilog-2005. Для верифікації використовується об'єктно-орієнтована модель програмування.

Посилання

  • SystemVerilog — HomePage. systemverilog.ru. Процитовано 23 червня 2019.